Articles
Register
Sign In
Search
cborgessouza
Ambicioso
0
Followers
7
Questões
12
Respostas
cborgessouza
May 2023 | 1 Respostas
Observe a figura abaixo. FIFO_FILO A figura apresentada no texto-base faz analogia as memórias de acesso serial. Associe com a respectiva coluna: ovo FIFO – First-In First-Out; ovo Pacote de dados; ovo Área de armazenamento; ovo LIFO – Last-In First-Out Escolha uma: a. B; A; C; D b. D; A; C; B Correto c. A; B; C; D d. C; A; D; B e. D; C; A; B
Responda
cborgessouza
April 2023 | 1 Respostas
Observe a figura a seguir. olho A figura acima corresponde a uma transmissão síncrona de dados. Com relação a uma transmissão síncrona avalie a alternativa correta. Escolha uma: a. Um link de transmissão que opera a 9.6 [Kb/s] corresponde ao tempo de bit de aproximadamente de 104 [µs]; Correto b. Por se tratar de uma transmissão síncrona caso ocorra erro na sincronização as amostragens nunca serão perdidas; c. Por se tratar de uma transmissão síncrona não existe a necessidade de buffer para armazenamento dos dados; d. Por se tratar de uma transmissão síncrona o tempo de bit não influencia no receptor; e. Do lado do receptor a amostragem do sinal independe do tempo de bit;
Responda
cborgessouza
April 2023 | 1 Respostas
Observe o código abaixo. NÚCLEO 1 NÚCLEO 2 module nucleo1 (clk_i, s_i, p_o); input clk_i, s_i; output [7:0] p_o; reg [7:0] tmp; always @(posedge clk_i) tmp = {tmp[6:0], s_i}; assign p_o = tmp; endmodule module nucleo2 (d_i, clk_i, rst_i , ld_i ,s_o ); output reg d_o; input wire [7:0] d_i; input clk_i, rst_i, ld_i; reg [7:0] temp; always @ (posedge (clk_i)) if (rst_i == 1’b1) temp <= 1; else if (ld_i == 1’b1) temp <= d_i; else begin s_o <= temp[7]; temp <= {temp[6:0],1'b0}; end endmodule Com relação aos códigos acima avalie a alternativa correta. Escolha uma: a. NÚCLEO 1 – corresponde a uma solução cuja saída de dados possui tamanho de 7 bits NÚCLEO 2 – o trecho temp <= {temp[6:0],1'b0} corresponde ao momento na qual o dado é recolocado uma posição a direita através de uma concatenação; b. NÚCLEO 1 – o registro reg [7:0] tmp é inicializado com zero NÚCLEO 2 – o registro reg [7:0] temp; é inicializado com valor 7’b1111111; c. NÚCLEO 1 – o trecho tmp = {tmp[6:0], s_i}; corresponde ao momento na qual o sinal serial de entrada é concatenado em uma variável temporária de tamanho 6 bits; NÚCLEO 2 – corresponde a uma solução cuja entrada é serial e a saída é paralela; d. NÚCLEO 1 – o trecho tmp = {tmp[6:0], s_i}; corresponde ao momento na qual o sinal serial de entrada é concatenado em uma variável temporária de tamanho 6 bits; NÚCLEO 2 – o trecho de código if (ld_i == 1’b1) temp <= d_i; corresponde ao momento na qual o dado paralelo é “carregado” em uma variável temporária; e. Tanto o NÚCLEO 1 quanto o NÚCLEO 2 possuem array de registradores. NÚCLEO 1 – corresponde a uma solução cuja entrada é serial e a saída é paralela; NÚCLEO 2 – corresponde a uma solução cuja entrada é paralela e a saída é serial; Correto
Responda
cborgessouza
January 2023 | 1 Respostas
A verificação de sistemas digitais é de tamanha importância que se desenvolveu toda uma disciplina e conjunto de estudos dedicados a ampliar e melhorar as técnicas empregadas nesse processo. Os custos envolvidos em uma falha em um sistema digital de larga escala vão desde algumas horas perdidas por um desenvolvedor até milhões de dólares na correção de uma falha que tenha alcançado a fabricação de um circuito integrado. Em se tratando da estruturação de testes de máquinas de estado podemos afirmar: I. Testes auto verificáveis implicam em um esforço inicial para configuração do sistema, mas são mais adequados em um processo de desenvolvimento de longo prazo dada a capacidade de repeti-los com frequência uma vez que estejam implementados. II. Todos os blocos digitais descritos no teste precisam ter capacidade de serem sintetizáveis e devem funcionar na tecnologia final do projeto III. O uso de task pode auxiliar na descrição de testes reutilizáveis e acelerar o processo de desenvolvimento IV. Em Verilog estamos limitados ao uso dos eventos fornecidos pela linguagem sem a possibilidade de extensão dos mesmos para testes no sistema. Escolha uma: a. Apenas as alternativas II e III estão corretas. b. Apenas as alternativas I e III estão corretas. Correto c. Apenas as alternativas II está correta. d. Apenas as alternativas II e IV estão corretas. e. Apenas as alternativas I e IV estão corretas.
Responda
cborgessouza
January 2023 | 1 Respostas
Medir o tempo é parte do processo em várias situações cotidianas e profissionais. A necessidade de seguir uma agenda para a execução de tarefas em um circuito é algo usual. Para medição do tempo é necessária a presença de uma referência e a contagem é parte central no processo como em todos os sistemas que envolvem mensurar uma grandeza. Ao considerar a descrição e o uso de um contador na construção de um timer associado a uma máquina de estados as seguintes afirmações são verdadeiras. I. A relação entre o período do relógio de referência e o número de bits do contador é irrelevante. II. A inserção de um sinal de final de contagem pode auxiliar na integração com a máquina de estados finitos. III. Para gerar um temporizador com sinal de final de contagem temos descritos no circuito um contador e um comparador. Escolha uma: a. Apenas a alternativa II está correta. b. Apenas a alternativa III está correta. c. Apenas as alternativas I e III estão corretas. d. Apenas as alternativas II e III estão corretas. Correto e. Apenas as alternativas I e II estão corretas.
Responda
cborgessouza
January 2023 | 1 Respostas
Algumas vezes notamos nas descrições das atividades humanas a presença de uma sequência ações que ocorrerão partir de eventos. A Máquina de estados finitos é uma construção teórica que auxilia na construção de um modelo para que tais sistemas possam ser analisados e construídos. No projeto de sistemas digitais, máquinas de estados finitos encontram uma imensa gama de aplicações dada a sua versatilidade e simplicidade. Em se tratando da representação da máquina de estados através do diagrama de estados abaixo: Diagrama de estados Escolha uma: a. Trata se de máquina de estados do tipo Mealy com três estados, quatro transições, três entradas e 2 saídas. Todas as transições possíveis estão descritas no diagrama. b. Trata se de máquina de estados do tipo Mealy com 3 estados, 4 transições, 2 entradas e 2 saídas. Há outras transições não representadas no diagrama. c. Trata se de máquina de estados do tipo Moore com três estados, quatro transições, três entradas e duas saídas. Há outras transições não representadas no diagrama. Correto d. Trata se de máquina de estados do tipo Moore com quatro estados, três transições, duas entradas e duas saídas. Todas as transições possíveis estão descritas no diagrama. e. Trata se de máquina de estados do tipo Moore com três estados, quatro transições, duas entradas e três saídas. Todas as transições possíveis estão descritas no diagrama.
Responda
cborgessouza
January 2023 | 1 Respostas
Ao desenvolver sistemas digitais, muitas são as opções possíveis. A cada decisão um conjunto de possibilidades se apresenta ao desenvolvedor e é relevante conhecer e compreender as consequências de cada caminho possível para ser capaz de identificar e ponderar sobre as decisões. Em se tratando de projetos de sistemas digitais que utilizam máquinas de estados finitos em seu circuito podemos afirmar que: I. O circuito responsável pela decisão de estados pode ser separado do circuito de transição de estados II. Máquinas de estado do tipo Mealy são completamente síncronas com relação ao relógio do sistema III. Em relação a máquinas de estado tipo Moore, ainda que tenhamos o circuito de saída combinacional o circuito final é completamente síncrono. IV. A representação dos estados, encoding, possui relação com a complexidade do circuito digital final construído pela ferramenta de síntese. Escolha uma: a. Apenas as afirmativas III e IV estão corretas. b. Apenas as afirmativas I e II estão corretas. c. Apenas as afirmativas II e IV estão corretas. d. Apenas as afirmativas I, III e IV estão corretas. Correto e. Apenas as afirmativas I e IV estão corretas.
Responda
Helpful Links
Sobre nós
Política de Privacidade
Termos e Condições
direito autoral
Contate-Nos
Helpful Social
Get monthly updates
Submit
Copyright © 2024 ELIBRARY.TIPS - All rights reserved.